Rsuwei

On Wafer System

On Wafer 无线晶圆温度测量系统

Product Introduction

On Wafer System – Actual Monitoring Wafer Temperature On Dry Etch Process

The Wireless On-Wafer Temperature Measurement System is a complete measurement system embedded in a wafer that can measure and record the impact of the dry etch process environment on production wafers under actual process conditions, without the need for wired connections. The on wafer system can actually monitoring wafer temperature on dry etch process, designed to calibrate, improve uniformity and match temperature profiles.

By measuring temperature data at conditions close to the product process, the On-Wafer wireless temperature measurement system can help process engineers adjust etch process conditions, verify and match chambers, and validate after PM. 

Specifications

 No.

Item

Specification

1

Wafer size

8”,12”

2

Base material

Silicon

3

Surface material

Silicon

4

Number of measurement point

81/support customization

5

Sensor type

IC

6

Calibration range

15-100℃

7

Operating temperature range

12-100℃

8

Tolerance

0.1℃/0.2℃

9

Sensor to Sensor

≤0.1℃/0.2℃

10

Connection type

RF

11

Power source

Battery

12

Charging method

Wireless charging

13

Sampling frequency

4Hz

 

Software demostration Interface

Applications

Insulator plasma etching (EtchTemp)

Conductor plasma etching (EtchTemp-HD, EtchTemp SE-HD, EtchTemp-SE)

Ion implantation | 20-140°C

Customization


1. Determine the temperature accuracy requirements and dimensions.

2. Determine the usage environment and whether it meets the requirements (currently, 8-inch wireless temperature measurement wafers can be used in plasma and ESC environments).

3. Determine the number and arrangement of points.

Contact Us

Send us an inquiry! We will reply within 24 hours!

Please enable JavaScript in your browser to complete this form.

FAQ

Yes. Define each length of L1, L2, L3 ( Mainly consider the length that TC-wafer placed in the chamber)

Yes. We provide flexible customization service to meet our client’s needs.

Not really the same. The temperature in process should be consider when choosing the correct item. For example, TC-wafer can test within 1200℃, but On Wafer system can only test under 100℃.