Rsuwei

Suzhou Rsuwei Semiconductor Technology Co.,Ltd

Wafer Temperature Measurement Solution

Feature Product

12" Wireless On-Wafer Temperature Measurement System

  • Wafer Size: 8”,12”;
  • Point of Measurement: 65、81、96;
  • Temperature Range: 12-100℃;
  • Connection: RF
wafer temperature measurement 晶圆测温

Product Catalog

TC Wafer

TC Wafer is a temperature sensor that uses a special processing technology to embed high-temperature resistant sensors (thermocouples) at specific locations on the wafer surface, thus realizing real-time temperature measurement of the wafer surface.

RTD Wafer

RTD Wafer is a temperature sensor that uses a special processing technology to embed temperature sensors (RTDs) at specific locations on the wafer surface, thus realizing real-time temperature measurement of the wafer surface.

On Wafer

The Wireless On-Wafer Temperature Measurement System is a complete measurement system embedded in a wafer that can measure and record the impact of the etch process environment on production wafers under real process conditions, without the need for wired connections.

wireless tc wafer 无线晶圆温度传感器

Wireless TC Wafer

With wireless sensor attaches to the top of a silicon wafer, the wireless TC wafer is used to monitor and measure temperature condition during such wafer processing: 1. Front Track Systems 2. SC Hot Plates 3. Cold Plates 4. HMDS Chambers 5. Coating/Developing.

ATS Wafer

The ATS Wafer is a special processing technology that combines a circuit board with image capturing capabilities with a carbon fiber chassis, which can be used to locate objects by capturing images of their location and obtain offset data (X, Y) to quickly calibrate wafer transfer positions.

AMS Wafer

The AMS Wafer is a unique tool that integrates multiple sensor modules onto a single circuit board. This allows it to quickly measure vibration, acceleration, level, and humidity, making it a versatile and efficient solution for semiconductor equipment debugging.

Our Advantages

Striving for excellence in temperature measurement accuracy, precision, temperature range, and customized services, ranking among the top tier of the semiconductor temperature measurement market!

> High accuracy

> Precise tempreture measurement

> Powerful thermal field system

> Highly flexible customization service

Software Demostration Interface

TC Wafer Software Interface

RTD Wafer Software Interface

On-Wafer Software Interface

AMS Wafer Software Interface

Applications

TC Wafer

> Furnace
> PVD chamber
> CVD chamber
> RTP/rta chamber
> Strippers
> Vacuum Reflow Oven
> ECP:oring(180℃-300℃)

RTD Wafer

>Front Track Systems
>Coating and Developing
>ESC for ETCH 
>Hot Plates
>Cold Plates

On Wafer

>Plasma Etch poly
(EtchTemp)
>Plasma Etch metal(
EtchTemp-HD、EtchTemp SE-HD、
EtchTemp-SE)
>Ion implantation(20-140°C)

Contact Us

Send us an inquiry! We will reply within 24 hours!

Please enable JavaScript in your browser to complete this form.

FAQ

Yes. Define each length of L1, L2, L3 ( Mainly consider the length that TC-wafer placed in the chamber)

Yes. We provide flexible customization service to meet our client’s needs.

Not really the same. The temperature in process should be consider when choosing the correct item. For example, TC-wafer can test within 1200℃, but On Wafer system can only test under 100℃.